Recherche - Laboratoire des sciences et techniques de l'information, de la communication et de la connaissance, site de l'UBO Accéder directement au contenu

Filtrer vos résultats

248 résultats

An Efficient Framework for Design and Assessment of Arithmetic Operators with Reduced-Precision Redundancy

Imran Wali , Emmanuel Casseau , Arnaud Tisserand
Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
Communication dans un congrès hal-01586983v1
Image document

A New Leader Election Algorithm based on the WBS Algorithm Dedicated to Smart-cities

Nabil Kadjouh , Ahcène Bounceur , Abdelkamel Tari , Loïc Lagadec , Reinhardt Euler , et al.
3rd International Conference on Future Networks and Distributed Systems (ICFNDS '19), Jul 2019, Paris, France. pp.1-5, ⟨10.1145/3341325.3342014⟩
Communication dans un congrès hal-02303247v2

CAT: An extensible system-level power Consumption Analysis Toolbox for Model-Driven design

Dominique Blouin , Eric Senn
2010 8th IEEE International NEWCAS Conference (NEWCAS), Jun 2010, Montreal, France. pp.33-36, ⟨10.1109/NEWCAS.2010.5603737⟩
Communication dans un congrès hal-03219207v1
Image document

Online Inference for Adaptive Diagnosis via Arithmetic Circuit Compilation of Bayesian Networks

Sara Zermani , Catherine Dezan , Reinhardt Euler , Jean-Philippe Diguet
Designing with Uncertainty: Opportunities & Challenges workshop, Mar 2014, York, United Kingdom
Communication dans un congrès hal-00965533v1

Modeling Driver Level NAND Flash Memory I/O Performance and Power Consumption for Embedded Linux

Pierre Olivier , Jalil Boukhobza , Eric Senn
IEEE 11th International Symposium on Programming and Systems, Apr 2013, Algiers, Algeria. pp.155-164, ⟨10.1109/ISPS.2013.6581480⟩
Communication dans un congrès hal-00818840v1

Embedded Context Aware Diagnosis for a UAV SoC platform

Sara Zermani , Catherine Dezan , Chabha Hireche , Reinhardt Euler , Jean-Philippe Diguet
Microprocessors and Microsystems: Embedded Hardware Design , 2017, 51, pp.185-197. ⟨10.1016/j.micpro.2017.04.013⟩
Article dans une revue hal-01520122v1
Image document

Evaluation of the Performance/Energy Overhead in DSP Video Decoding and its Implications

Yahia Benmoussa , Jalil Boukhobza , Eric Senn , Djamel Benazzouz
Annual Metting of the GDR SoC SiP, Jun 2013, Lyon, France
Communication dans un congrès hal-00846463v4

DTFM: a Flexible Model for Schedulability Analysis of Real-Time Applications on NoC-based Architectures

Mourad Dridi , Stéphane Rubini , Frank Singhoff , Jean-Philippe Diguet
ACM SIGBED Review, 2018, 14 (4), pp.53--59. ⟨10.1145/3177803.3177812⟩
Article dans une revue hal-01497856v1
Image document

DAS: An Efficient NoC Router for Mixed-Criticality Real-Time Systems

Mourad Dridi , Stéphane Rubini , Mounir Lallali , Martha Johanna Sepulveda Florez , Frank Singhoff , et al.
2017 IEEE 35th International Conference on Computer Design (ICCD), Nov 2017, Boston, United States. ⟨10.1109/ICCD.2017.42⟩
Communication dans un congrès hal-01713312v1

On the Energy Efficiency of Parallel Multi-core vs Hardware Accelerated HD Video Decoding

Yahia Benmoussa , Jalil Boukhobza , Eric Senn , Djamel Benazzouz
EWiLi, the Embedded Operating Systems Workshop, Nov 2014, Lisbon, Portugal
Communication dans un congrès hal-01097128v1

SIGBED Review, Volume 11, Number 1, February 2014 Special Issue the 4th Workshop on Embed With Linux (EWiLi 2014)

Jalil Boukhobza , Jean-Philippe Diguet , Pierre Ficheux , Frank Singhoff
ACM. ACM, 11 (4), 2014, ISSN: 1551-3688
Ouvrages hal-01166165v1
Image document

Extended RISC-V hardware architecture for future digital communication systems

Mael Tourres , Bertrand Le Gal , Jeremie Crenne , Philippe Coussy , Cyrille Chavet
2021 IEEE 4th 5G World Forum (5GWF), Oct 2021, Montreal, Canada. pp.224-229, ⟨10.1109/5GWF52925.2021.00046⟩
Communication dans un congrès hal-03586276v1

Finding the boundary nodes of a WSN using the D-LPCN algorithm and its simulation under CupCarbon

Ahcène Bounceur
1st EAI International Conference on Future Internet Technologies and Trends (ICFITT 2017), Aug 2017, Surat, India
Communication dans un congrès hal-02508125v1

Extending Multicore Architectures with Cryptoptocessors and Parallel Cryptography

Cuauthemoc Mancillas , Maria Mendez Réal , Lilian Bossuet , Guy Gogniat , Viktor Fischer , et al.
Colloque national du GDR SOC-SIP, Jun 2014, Paris, France
Communication dans un congrès ujm-01015264v1

Un Web Service sur les problèmes de transport avec des distances réelles, pour propager les algorithmes de recherche opérationnelle

Maxime Chassaing , Marc Sevaux , Pierre Bomel , Ivan Crépeau
ROADEF: Recherche Opérationnelle et d'Aide à la Décision, Feb 2017, Metz, France
Communication dans un congrès hal-02083796v1

A Pseudo-Polygon and Its Application in IoT Networks

Ahcène Bounceur
International Conference on Future Networks and Distributed Systems (ICFNDS), Jun 2018, Amman, Jordan
Communication dans un congrès hal-02508124v1
Image document

Dynamic configuration management of a multi-standard and multi-mode reconfigurable multi-ASIP architecture for turbo decoding

Vianney Lapotre , Guy Gogniat , Amer Baghdadi , Jean-Philippe Diguet
EURASIP Journal on Advances in Signal Processing, 2017, 2017 (1), ⟨10.1186/s13634-017-0468-x⟩
Article dans une revue hal-01595772v1
Image document

Memristive Computational Memory Using Memristor Overwrite Logic (MOL)

Khaled Alhaj Ali , Mostafa Rizk , Amer Baghdadi , Jean-Philippe Diguet , Jalal Jomaah , et al.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2020, 28 (11), pp.2370-2382. ⟨10.1109/TVLSI.2020.3011522⟩
Article dans une revue hal-02933285v1

A framework for high-level synthesis of heterogeneous MP-SoC

Youenn Corre , Jean-Philippe Diguet , Dominique Heller , Loic Lagadec
GLSVLSI, May 2012, Salt Lake City, United States. pp.283-286, ⟨10.1145/2206781.2206850⟩
Communication dans un congrès hal-00726481v1
Image document

Generation of Finely-Pipelined GF(P ) Multipliers for Flexible Curve based Cryptography on FPGAs

Gabriel Gallin , Arnaud Tisserand
IEEE Transactions on Computers, 2019, 68 (11), pp.1612-1622. ⟨10.1109/TC.2019.2920352⟩
Article dans une revue hal-02141260v1

Heuristic based Routing Algorithm for Network on Chip

Asma Benmessaoud Gabis , Marc Sevaux , Pierre Bomel , Mouloud Koudil , Karima Benatchba
IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC), Sep 2016, Lyon, France. pp.39-45, ⟨10.1109/MCSoC.2016.43⟩
Communication dans un congrès hal-01665376v1
Image document

Exploring the Performance of Partially Reconfigurable Point-to-point Interconnects

El Mehdi Abdali , Maxime Pelcat , François Berry , Jean-Philippe Diguet , Francesca Palumbo
12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2017), Jul 2017, Madrid, Spain. ⟨10.1109/ReCoSoC.2017.8016160⟩
Communication dans un congrès hal-01629054v2

Bi-Objective Cost Function for Adaptive Routing in Network-on-Chip

Asma Benmessaoud Gabis , Pierre Bomel , Marc Sevaux
IEEE Transactions on Multi-Scale Computing Systems, 2018, 4 (2), pp.177-187. ⟨10.1109/TMSCS.2018.2810223⟩
Article dans une revue hal-01720279v1

Locality-aware task scheduling for homogeneous parallel computing systems

Muhammad Khurram Bhatti , Isil Oz , Sarah Amin , Maria Mushtaq , Umer Farooq , et al.
Article dans une revue hal-01748398v1
Image document

ARMHEx: A hardware extension for DIFT on ARM-based SoCs

Muhammad Abdul Wahab , Pascal Cotret , Mounir Nasr Allah , Guillaume Hiet , Vianney Lapotre , et al.
2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. ⟨10.23919/fpl.2017.8056767⟩
Communication dans un congrès hal-01558473v1
Image document

ARMHEx: a framework for efficient DIFT in real-world SoCs

Muhammad Abdul Wahab , Pascal Cotret , Mounir Nasr Allah , Guillaume Hiet , Vianney Lapotre , et al.
Field Programmable Logic (FPL), Sep 2017, Ghent, Belgium. , 2017
Poster de conférence hal-01558475v1

A Parallel Data Mining Algorithm for PageRank Computation

Saoudi Massinissa , Massinissa Lounis , Ahcène Bounceur , Reinhardt Euler , Tahar Kechadi
International conference on Big Data and Advanced Wireless technologies (BDAW'2016), Nov 2016, Blagoevgrad, Bulgaria
Communication dans un congrès hal-01397951v1

A New Algorithm for Finding a Dominating Set in Wireless Sensor and IoT Networks Based on the Wait-Before-Starting Concept

Madani Bezoui , Ahcène Bounceur , Reinhardt Euler , Farid Lalem , Abdelkader Laouid
IEEE Sensors 2017, Oct 2017, Glasgow, United Kingdom
Communication dans un congrès hal-01574226v1

A Distributed Multi-path Routing Algorithm to Balance Energy Consumption in Wireless Sensor Networks

Abdelkader Laouid , Dahmani Abdelnasser , Ahcène Bounceur , Reinhardt Euler , Farid Lalem , et al.
Ad Hoc Networks, 2017, ⟨10.1016/j.adhoc.2017.06.006⟩
Article dans une revue hal-01544658v1

A Hybrid Intrusion Detection System in Industry 4.0 Based on ISA95 Standard

Salwa Alem , David Espes , Eric Martin , Laurent Tchamnda Nana , Florent de Lamotte
2019 IEEE/ACS 16th International Conference on Computer Systems and Applications (AICCSA), Nov 2019, Abu Dhabi, Saudi Arabia. pp.1-8, ⟨10.1109/AICCSA47632.2019.9035260⟩
Communication dans un congrès hal-04158780v1